What is a D Latch?

What is a D Latch?
2 min read
28 February 2022

D Latch is also known as a D flip-flop. It's a kind of flip-flop that follows the input and makes transitions to match the D input. The D is referred to as data. It records the value on that line. It could be considered the basic memory cell.What is a D Latch?

When an active very high the SR Flip Flop is the case when S (Set), as well as the R (Reset) both, are zero. There will be no changes to the signal output from the latch. If each S, as well as R, are equal, the outcome of the latch can be completely indeterminate. If you have an active Low S Flip Flop where S and R both are 1, there is no change in the output of the latch. If the two S and R are zero, the result of the latch can be completely indeterminate. In other words, if the inputs to the flip-flop match, there is the No Change or Invalid output condition. If we do not meet these requirements of inputs, It can be in RESET or SET states.

There are many situations where only the RESET and SET requirements of the latches are needed. Therefore, it is possible to use inputs (S and R) that are in the same direction as one another. It is possible to design this using one input (S) to the latch. The R input is created through inverting this S. This single input is referred to as data input and is identified by D. 

In case you have found a mistake in the text, please send a message to the author by selecting the mistake and pressing Ctrl-Enter.
Anita Chan 481
Joined: 2 years ago
Comments (0)

    No comments yet

You must be logged in to comment.

Sign In / Sign Up